CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - VHDL 写 FIFO

搜索资源列表

  1. usb

    2下载:
  2. 使用68013的测试程序,包含68013固件程序(采用slave FIFO bulk同步读写,EP2 OUT,EP6 IN),驱动,PC端测试用程序。CPLD的VHDL代码
  3. 所属分类:USB编程

    • 发布日期:2008-10-13
    • 文件大小:1012462
    • 提供者:李华
  1. fifoi

    0下载:
  2. 基于Xilinx Vertex2的可综合的2048x10位的读写可控制FIFO模块源代码,深度可控-Based on the Xilinx Vertex2 can be integrated 2048x10-bit read and write can control the FIFO module source code, the depth of controllable
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:2225
    • 提供者:
  1. clk

    0下载:
  2. 通过一个主时钟信号完成异步FIFO读写时钟信号的产生。编译通过实现功能。-Through a master clock signal the completion of asynchronous FIFO read and write clock signal generation. Compiler through the implementation function.
  3. 所属分类:OS Develop

    • 发布日期:2017-04-25
    • 文件大小:30061
    • 提供者:ouping
  1. fifo_core

    0下载:
  2. 经典的FIFO实现源码,里面有三种类型,是xilinx工程师写的,经典-Classic source FIFO implementation, there are three types, are written by xilinx Engineer, classical
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-24
    • 文件大小:10480
    • 提供者:刘太联
  1. fpga.fifo

    1下载:
  2. 异步FIFO是用来适配不同时钟域之间的相位差和频率飘移的重要模块。本文设计的异步FIFO采用了格雷(GRAY)变换技术和双端口RAM实现了不同时钟域之间的数据无损传输。该结构利用了GRAY变换的特点,使得整个系统可靠性高和抗干扰能力强,系统可以工作在读写时钟频率漂移达到正负300PPM的恶劣环境。并且由于采用了模块化结构,使得系统具有良好的可扩充性。-Asynchronous FIFO is an important module which always used to absorb the
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:82017
    • 提供者:雷志
  1. fifo

    0下载:
  2. 用VHDL语言写的FIFO代码,可设FIFO的深度-VHDL language with code written in FIFO, FIFO depth can be set up
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:661
    • 提供者:wd
  1. fifo_vhdl

    0下载:
  2. FIFO的VHDL编程,其中包括FIFO的读,写,满帧,半满帧信号驱动-FIFO of the VHDL programming, including the FIFO' s read, write, full frame, half-full frame signal drive
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:798
    • 提供者:刘石
  1. fifo1

    0下载:
  2. 用VHDl写的FIFO 如果刚学VHDL 看看此程序很有用的-By the FIFO write VHDl learn if VHDL just take a look at this program very useful
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:111956
    • 提供者:小胖
  1. yuyincaiji

    0下载:
  2. 语音采集与回放系统源代码:1.为了使读音数据存储的时间更长,速度更快,选用了256K*16Bit的SRAM;2.为了减少单片机的控制复杂度,使用了FPGA来控制SRAM的读写操作,节约了不少单片机的I/O资源;3.为了以后的高速数据存储,本设计中加入了fifo,其位宽及深度可在程序中自由设置,方便灵活。-Speech acquisition and playback system source code: 1. In order to make pronunciation longer data
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:804529
    • 提供者:song
  1. fifo

    0下载:
  2. 这个是我自己写的同步fifo ,供大家参考学习-this the syn-fifo,including testbench
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:47967
    • 提供者:白桦
  1. 364652261

    0下载:
  2. FIFO一个用IP核调用的控制程序,里面有调用的IP核和FIFO读写控制-FIFO with an IP core call control procedures, which are called IP core and FIFO read and write control
  3. 所属分类:Linux-Unix program

    • 发布日期:2017-04-06
    • 文件大小:6056
    • 提供者:lixu
  1. RGB_480P

    0下载:
  2. 用VHDL写的,将24bit的480P数据直接存储到fifo中,经过实际的板子验证。还可以通过更改参数改到其他格式,如1080P,720P,720I等。-Written with VHDL, the 480P 24bit data will be stored directly to the fifo, after the actual board certification. Can also be changed by changing the parameters to other for
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:2467
    • 提供者:wwww
  1. gen_fifo_usb1

    0下载:
  2. slaver fifo测试模块,分为三个模块,generate产生数据,然后写如fifo.再传如usbslaver fifo-slaver fifo test module consists of three modules, generate production data, and then write as fifo. re-transmission, such as usbslaver fifo
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-12
    • 文件大小:2863983
    • 提供者:王萍
  1. FIFO

    0下载:
  2. 用VHDL语言写的FIFO IDT7205驱动程序。时序仿真无误!-VHDL language used to write the FIFO IDT7205 driver. Timing simulation is correct!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:403901
    • 提供者:曹操
  1. VHDL-8bitFIFO

    0下载:
  2. FIFO的宽度:也就是英文资料里常看到的THE WIDTH,它只的是FIFO一次读写操作的数据位,就像MCU有8位和16位,ARM 32位等等,本程序实现8位的FIFO功能,三位格雷码可表示8位的深度。-THE WIDTH of THE FIFO: namely information in English often see THE WIDTH, it is only a FIFO data read and write operations, as has 8 bit or 16 bit M
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:1072
    • 提供者:刘伟
  1. FIFO

    0下载:
  2. FIFO读写操作,quartusII VHDL IP FPGA-FIFO VHDL IP FPGA
  3. 所属分类:MPI

    • 发布日期:2017-04-30
    • 文件大小:99848
    • 提供者:eclipseds5
  1. vhdl实现异步fifo

    0下载:
  2. 使用vhdl实现异步fifo功能,不占用ram资源,仅占用少量LE资源,且读写计数进行了格雷码转换,使用安全
  3. 所属分类:VHDL编程

搜珍网 www.dssz.com